Fpga spi veriloglavori

Filtro

Le mie ultime ricerche
Filtra per:
Budget
a
a
a
Tipo
Competenze
Lingue
    Stato del lavoro
    2,000 fpga spi verilog lavori trovati, prezzi in EUR

    I'm seeking a proficient professional to work on a project centered around the identification of friend or foe (IFF) signals. The main tasks will involve: - Conducting intricate analysis of signal patterns - Accumulating and processing radar data -Communication done between PC -> Ethernet TCP 100MHz -> FPGA -> receiver I need an expert who can teach me the tasks too. And can guide mye what to read about. - Some DSP and Sampling might be needed. Using Vivado While it's not necessary, previous experience with identification systems is beneficial. Being well versed in radio and signal processing is crucial for this role. The project timeline is approximately one month, so a professional able to deliver in a time-efficient manner is ideal. Availability from the...

    €440 (Avg Bid)
    €440 Offerta media
    11 offerte

    Arduino code for STM32H750 to display image from SD card on the ST7789 TFT LCD using hardware STM32 SPI and STM32Duino

    €51 (Avg Bid)
    €51 Offerta media
    1 offerte

    ...Defining the specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The cha...

    €51883 (Avg Bid)
    €51883 Offerta media
    9 offerte

    I'm looking for someone to edit an existing Altium schematic, you will need to remove some parts, you will need to add 2 new header pins, and move the components that are on the bottom layer to the top layer. Most of the routing is completed. this should be a pretty simple task. (please do not bid that it will take 7 days, and no this is not a $100 project!) This is the link for the board that ...remove some parts, you will need to add 2 new header pins, and move the components that are on the bottom layer to the top layer. Most of the routing is completed. this should be a pretty simple task. (please do not bid that it will take 7 days, and no this is not a $100 project!) This is the link for the board that I need to modify.

    €29 (Avg Bid)
    €29 Offerta media
    16 offerte

    I am in search of a highly skilled freelancer with expertise in FPGA coding to bring a custom logic design project to life in Noida (Delhi/NCR). **Project Objectives:** - Development and implementation of custom logic designs using FPGA. - Ensuring designs are efficient, reliable, and meet project requirements. **Skills and Experience:** - Strong background in FPGA programming and design, with specific experience in either Xilinx, Altera, or Lattice platforms preferred. - Proven ability to develop and optimize custom logic designs. - Excellent problem-solving skills and creativity in designing unique solutions. - Ability to work independently and deliver project milestones on time. **Application Requirements:** - convert LVDS signals to MIPI CSI2. - preferably using...

    €349 (Avg Bid)
    €349 Offerta media
    5 offerte

    ...targeting high-speed FPGA applications, specifically focusing on Digital Signal Processing (DSP) and video processing capabilities. My aim is to harness the power of Xilinx FPGAs to develop a complex hardware solution that can handle advanced processing tasks efficiently. **Core Requirements:** - Proficiency in Xilinx Vivado HLS for designing, synthesizing, and implementing highly optimized hardware solutions. - Experience with FPGA programming, particularly with Xilinx devices, as the platform of choice for this project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware design. - Prior projects or ex...

    €14 / hr (Avg Bid)
    €14 / hr Offerta media
    9 offerte

    I am seeking a talented programmer to develop an encryption algorithm using Verilog language, which will be implemented using FPGA in Xilinx. Key Requirements: - Comprehensive understanding of Verilog programming and encryption algorithms - Extensive experience in FPGA implementation - Proficiency in Xilinx The ideal candidate should be capable of creating an efficient and secure encryption system from scratch. Your algorithm will be tested for security, efficiency, and performance during Evaluation. Please include examples of relevant previous work in your bid. Thank you.

    €113 (Avg Bid)
    €113 Offerta media
    4 offerte

    ...freelancer with expertise in Verilog for an advanced system design and optimization project targeting FPGA (Field-Programmable Gate Array). This project demands someone who can navigate complex Verilog coding with ease and contribute to significant system improvements. **Core Requirements**: - Profound knowledge in Verilog coding, specifically for FPGA platforms. - Experience in advanced system design and optimization. - Capability to work through complex modules and processes to deliver optimized solutions. **Ideal Skills and Experience**: - Strong background in FPGA-based design and development. - Proficient in writing, analyzing, and optimizing Verilog code. - Familiarity with debugging and testing Verilog code in a real FP...

    €79 (Avg Bid)
    €79 Offerta media
    4 offerte

    ...a professional with extensive experience in FPGA programming to bring my project to life. It's important that the freelancer has a solid background in Vitis HLS, as this is the platform we'll be using. Key Requirements: - Proficiency in Vitis HLS for FPGA programming - Ability to create and simulate test benches - Strong foundation in electrical engineering principles Skills and Experience: - Deep understanding of FPGA architectures, ideally Xilinx - Previous projects involving test bench creation - Experience with simulation tools Responsibilities: - Develop and simulate a test bench using Vitis HLS - Ensure functionality aligns with project goals - Optimize for performance and reliability This project will test your ability to work with FPGA p...

    €129 (Avg Bid)
    €129 Offerta media
    8 offerte

    I am looking for an experienced developer to create an FPGA-based game similar to a classic crossing road game with a twist. Required Skills: - Proficient in VHDL programming - Experience with FPGA design and implementation - Familiar with character movement logic - Ability to implement a scoring system into FPGA projects Project Requirements: - Design VHDL code specifically for an FPGA target device - Develop code that allows character movement within the game - Create a scoring system to track and display the player's score -able to score to reach a target and gameover point -able to control the game using fpga or keyboard - able to connect via vga Ideal Candidate: - You should have a portfolio demonstrating previous work with FPGAs and VHDL. ...

    €134 (Avg Bid)
    €134 Offerta media
    12 offerte
    FPGA SoC VHDL Design Terminato left

    Seeking a knowledgeable freelancer to implement an intermediate System on Chip (SoC) module using VHDL for an FPGA-based system. Key Responsibilities: - Develop and simulate VHDL code for an FPGA-based SoC module. - Ensure code meets functionality and performance requirements. - Provide documentation and support for testing and integration. Ideal Skills: - Proficient in VHDL programming. - Experience with FPGA design and implementation. - Familiar with SoC architecture and digital design principles. - Ability to write clean, optimized, and well-documented code. Qualifications: - Previous projects involving VHDL and FPGAs. - Understanding of intermediate SoC modules. - Good problem-solving and communication skills. Project Deliverables: - Working VHDL code as per sp...

    €148 (Avg Bid)
    €148 Offerta media
    7 offerte

    ...developer endeavoring in RFID technology, I'm seeking an expert who can craft Arduino code for the MFRC522 module. Here's what I need: - Read UID from RFID tags using MFRC522 with predefined SPI pins (SS_PIN 11, RST_PIN 21, MISO_PIN 12, MOSI_PIN 13, SCK_PIN 14). - After reading UID, display a message with the tag's details on a connected computer screen. The ideal freelancer should possess: - Proficiency in Arduino platform and coding. - Experience with RFID technology, specifically the MFRC522 module. - Ability to implement serial communication for data display on a computer. - Understanding of SPI communication protocol. - A knack for clean, well-commented code that is easy to debug and maintain. Thank you for considering this project – I'm...

    €40 (Avg Bid)
    €40 Offerta media
    12 offerte

    I'm seeking a talented freelancer with expertise in FPGA development to assist in implementing high-level synthesis (HLS) algorithms on the Vitis platform. I have a solid background in HLS but need guidance with Vitis integration. Requirements: • Experience with Vitis platform and HLS technology • Proficiency in Verilog programming • Past work with image, data encryption, or signal processing algorithms. Ideal Skills: • FPGA design and development • HLS algorithm optimization for performance • Familiarity with Xilinx development tools • Ability to write clean, maintainable code Goals: • To effectively integrate HLS algorithms into a Vitis workflow • To optimize algorithm performance on an FPGA architecture I ...

    €299 (Avg Bid)
    €299 Offerta media
    3 offerte

    I'm seeking an experienced electronics engineer or programmer to develop an audio playback system utilizing an ESP32, an external DAC module, and a 2W speaker. Here are my project specifications: - Integrate a 16MB Winbond SPI flash memory chip for audio file storage. - System must support music playback with standard quality audio. - Flexibility in handling audio file formats is preferred, though MP3 is a must. Ideal candidate attributes: - Proficiency in ESP32 programming and audio processing. - Experience working with SPI flash memory and DAC. - Ability to optimize storage to balance sound quality and file size. Please outline your approach to this project in your proposal.

    €18 (Avg Bid)
    €18 Offerta media
    3 offerte

    I am looking for an expert in FPGA design who can help create a SmartFusion2 design with specific features. the designer shall be able to - update VHDL design to control other peripherals integrating in the current design - update the SW on the ARM Ideally, the testing function should use predefined test cases. The main focus of the testing system needs to be hardware testing. Therefore, essential skills and experience include knowledge of hardware testing techniques and familiarity with FPGA configuration, power management, and communication protocols.

    €1027 (Avg Bid)
    €1027 Offerta media
    21 offerte

    I am seeking an expert in FPGA and ADC circuit design. The core task involves replacing the Cyclone FPGA with an Efinix FPGA and a Linear ADC with an Analog Devices ADC on an existing schematic. I have already purchased both parts. The ideal candidate will have extensive experience with: - Efinix FPGA and Analog Devices ADC, - Altium Designer for schematic design and routing, - Gerber file generation. Not only will you need to replace the components and carry out the appropriate routing, but you will also be tasked with ensuring the functionality of the revised design through simulation. An understanding of best routing practices and design validation is crucial for this task. Deliverables include the finalised Altium Designer files and Gerber files ready for...

    €314 (Avg Bid)
    €314 Offerta media
    6 offerte

    ...freelancer conversant in Verilog and familiar with Vivado tools to help expedite my digital circuit project. Efficiency and expertise are paramount to meet my project milestones. Key Tasks: - Synthesize and implement Verilog code - Optimize digital circuit designs using Vivado Skills Needed: - Proficient in Verilog - Proficient with Xilinx Vivado Suite - Strong in circuit synthesis and implementation - Ability to write clean, maintainable code - Experience with digital circuit design and simulation - Solid understanding of FPGA workflows Ideal Experience: - Previous successful FPGA projects - Proven track record with Vivado IDE - Strong debugging skills If you are a detail-oriented problem solver with the skills mentioned above and have a history o...

    €85 (Avg Bid)
    €85 Offerta media
    9 offerte

    I'm looking for an experienced VHDL developer to create a traffic-themed crossing road game for FPGA, with a keen eye for detail and efficiency in design. Key Responsibilities: - Design and implement a VHDL-based FPGA system - Program player movement controls and responsive gameplay - Develop dynamic obstacle generation mechanics Skills Required: - Proficient in VHDL and FPGA programming - Strong understanding of digital design and signal processing - Experience in gaming or simulation projects preferred The successful freelancer must showcase previous FPGA projects, ideally with gaming applications. Please provide code samples or portfolio links with your proposal.

    €132 (Avg Bid)
    €132 Offerta media
    5 offerte

    ...smoothly. - **Firmware Optimization**: Optimize the current firmware, enhancing its performance and efficiency. - **Troubleshooting**: Diagnose and fix bugs in the existing firmware to ensure reliability. - **Communication Mastery**: Implement robust communication protocols such as UART, SPI, and I2C for seamless data exchange with external computers or servers. **Skills Required**: - Advanced knowledge of PiC microcontrollers. - Mastery in Mikrobasic IDE for firmware development. - Proven experience with UART, SPI, I2C protocols. - Ability to integrate multiple functionalities into cohesive firmware. - Capable of interpreting and adhering to hardware specifications. - Previous work with external computers/servers communication. The ideal freelancer should have a track r...

    €162 (Avg Bid)
    €162 Offerta media
    22 offerte

    I'm seeking skilled freelancers to bring up the iMax6 SOC Linux and develop a test application. This task will include: 1. Linux Deployment: You'll need to deploy Debian on the iMax6 SOC. Experience with SOC Linux configurations, especially with Debian, is crucial. Yocto also OK 2. Test Application Development: You'll develop an application allowing for: - I2C Communication - SPI Communication - GPIO Control - CAN Communication Prior knowledge in these specific types of communication and control is required. Note: The end-users for this project haven't been determined yet. However, a user-friendly and intuitive design could be beneficial for possible end consumers or system administrators besides developers.

    €94 (Avg Bid)
    €94 Offerta media
    5 offerte

    I'm seeking a highly skilled Verilog engineer to design and implement an AES encryption and decryption system. This project has been initiated with the purpose of ensuring data security and as such, the successful applicant must be experienced in the field of cryptography. Proficiency in Verilog and a clear knowledge of encryption methods, particularly AES, are crucial for the success of this project. In your application, kindly provide: - Details of your past experiences related to Verilog - Any relevant project proposals you've worked on, showcasing your proficiency in developing AES systems. The deadline for completion of the project will be within one month. Please keep this timeframe in mind as you consider your application and potential schedule. I...

    €359 (Avg Bid)
    €359 Offerta media
    8 offerte

    I'm seeking an electronics expert for a specialized task: creating a control board for an Analog-to-Digital Converter (ADC) using Field-Programmable Gate Array (FPGA) technology. This board will primarily aim for data acquisition, taking advantage of a high-quality 24-bit resolution ADC to ensure accurate and reliable data retrieval. Interfacing will utilize the Serial Peripheral Interface (SPI) for its inherent advantages in speed, reliability, and simplicity. Key Skills & Experiences: - Proficient in FPGA design and programming - Familiarity with high-resolution ADCs - Experience in developing SPI interfaces - Understanding of data acquisition systems Your expertise will contribute significantly to the development of a powerful data acquisition...

    €2124 (Avg Bid)
    €2124 Offerta media
    27 offerte

    I am seeking a skilled freelancer with intermediate STM32 coding abilities. The ideal candidate should have: - Experience with STM32 microcontrollers - Knowle...abilities. The ideal candidate should have: - Experience with STM32 microcontrollers - Knowledge of various communication protocols - Familiarity with low power optimization techniques Please note that the specific functionality to be implemented will largely be centred around sensor integration and low power optimization. However, a solid understanding of communication protocols such as UART, SPI, and I2C is necessary. In your bid, please detail experiences with STM32 and similar projects you have worked on in the past. This will help me better gauge your suitability for the task at hand. Looking forward to working...

    €21 / hr (Avg Bid)
    €21 / hr Offerta media
    26 offerte

    ...particular, the IoT functionalities related to it. Key Requirements: - Connect a MicroE LTE IoT Click board to the cloud. - Utilize Microsoft Azure as the preferred IoT platform for cloud-connection. - Enable transmission of selected data types - specific sensor data and device status updates - to the Microsoft Azure cloud. set up a basic database structure. - sensor data will be provided via SPI or I2C bus, or via RS232 commands. - cloud data shall be visualized on mobile phone Skills and Experience Required: - Proven experience in IoT software development, particularly with microE click boards. - Familiarity with Microsoft Azure IoT capabilities and databases. - Knowledge in handling and visualization of sensor data and device status. - Strong grasp of cloud-connectivity an...

    €26 / hr (Avg Bid)
    €26 / hr Offerta media
    28 offerte

    ...a talented individual with a strong background in VHDL and FPGA design, specifically with Altera products, who can successfully implement communication interfaces within my project. The ideal candidate will possess a deep understanding of UART protocol and be capable of integrating it with other interfaces. Requirements: - Proficiency in VHDL programming for FPGA - Experience with Altera FPGA design tools - Successful implementation of UART interfaces - Knowledge in LAN and USB communication The scope of the project includes: - Implementing a low-speed UART interface (up to 115200 bps) - Integrating UART with LAN and USB interfaces on the FPGA The right freelancer will have a strong portfolio demonstrating their expertise in FPGA interface design an...

    €478 (Avg Bid)
    €478 Offerta media
    20 offerte

    ...Requirements** - Implement 4x4 matrix and 2x2 kernel convolution. - Employ kogge stone adder for efficiency. - Integrate vedic multiplier for rapid multiplication. - Ensure system operates with integer value precision. - Target design is for an FPGA using Verilog or VHDL. **Ideal Skills** - Proficiency in FPGA programming. - Strong background in digital arithmetic circuits. - Experience with kogge stone adders and vedic multipliers. - Knowledge of image processing techniques. - Use of Shifting , multiplication and addition in performing 2d convolution - Fluent in Verilog or VHDL coding. - Ability to optimize for power, area, and speed. **Project Deliverables** - Optimized HDL code for the convolution system. - Synthesis and simulation results demonstrating ...

    €213 (Avg Bid)
    €213 Offerta media
    2 offerte

    I'm seeking a proficient Verilog developer to implement a 2D convolution for the following image processing applications: edge detection and object recognition. • Core Requirements: - An extensive understanding of 2D Convolution and its application in edge detection and object recognition - Strong proficiency in Verilog programming language • Device specifications: - The 2D convolution algorithm needs to be coded specifically for an ASIC/FPGA device. Prior experience with designing for this platform is essential. This project demands precision, an in-depth understanding of image processing and excellent problem-solving skills. A proficiency in Verilog can significantly boost the effectiveness of the development process. Hence, expertis...

    €17 - €139
    €17 - €139
    0 offerte

    ...an experienced Verilog developer with expertise in digital circuit design, creating testbenches, and debugging existing Verilog code. While I didn't specify any particular tasks, I encourage you to utilize your problem-solving skills to lead this project's technical aspects. To apply for this project, please include: 1. Past Work: Include examples of your previous projects, focusing on your ability to create and implement successful digital circuit designs. 2. Verilog Code Samples: Although I am interested in all aspects of your past work, I would particularly like to see examples of your work on testbench designs. Your ability to create effective and efficient testbenches will be crucial to this project. 3. Experience: Your track record and breadth of ...

    €12 (Avg Bid)
    €12 Offerta media
    5 offerte
    Project for Ahmed M. Terminato left

    Hi Ahmed M., I noticed your profile and would like to offer you my project. We can discuss any details over chat. We are working on IPv4/IPv6 implementation on zynq FPGA. The Zynq FPGA is connected to an LMS7002M and send the data(audio/images etc.) to another ZYNQ FPGA via ethernet 10/100M.

    €166 (Avg Bid)
    €166 Offerta media
    1 offerte

    I am looking for a proficient Raspberry Pi developer to carry out a data collection and monitoring project. The purpose of this task is to design a system that will collect and track data regarding temperature, humidity, light levels, and pressure in real-time (every 5 minute). The sensors are RS485. Should get real time clock (RTC) over I2C or SPI. Data collected should be logged to a remote database URL (php). The database and PHP code is already done. This data should also be logged on a memory card (or USB flash drive). Ideal Skills: - Expertise in Raspberry Pi operation and coding - Understanding of sensors for environmental conditions data collection - Pyhon or any compatible language for Raspberry Pi This project requires proficiency with Raspberry Pi, its interfacing...

    €206 (Avg Bid)
    €206 Offerta media
    18 offerte
    Open Smart Watch Terminato left

    ...domain. Screen Size: Medium (1.5-2 inches) - The smartwatch should have a screen size within the range of 1.78 inches. Processor: - ULP: Single core with 2-lane MIPI DSI - 9.4 x 9.4 mm package WIFI/BLE: - Infinion CYW4343W Memory: - 1 GB of LPDDR4x - 64 GB of eMMC Peripherlas: Peripherals sould be exposed through a very small form factor dense board to board connector: - UART - I2C - SPI - JTAG Battery: I need a battery Lithium-Polymer Battery charger circute and an on board gauge IC to keep track of the battery charging level. Size: - Should be smaller than 30x35mm Skills and Experience: - Proficiency in high performance hardware design. - Experience in designing hardware with WIFI and BLE. - Knowledge of battery charger and gauge circute design. If you have the skills...

    €575 (Avg Bid)
    €575 Offerta media
    27 offerte

    ...expertise in creating a project that involves reading and recording CSV text files on a MicroSD card using a standard SPI module. The code should operate without using FreeRTOS system. Moreover, the developed code will need to be compatible with Keil 5, and all project files should be shared in addition to the project folder. Tasks to be Accomplished: Implement a module for writing and reading text data in CSV format on a MicroSD card. Ensure seamless integration with a hardware SPI controller. Deliver complete project folder to work with Keil 5. A hands-on experience with MicroSD operations, solid understanding of CSV file manipulation and a strong knowledge of SPI hardware controller is expected for this task. Familiarity with Keil software would be a plus....

    €14 (Avg Bid)
    €14 Offerta media
    6 offerte

    "I need help with designing an embedded system FPGA project. The focus is on device control and monitoring. The ideal freelancer for this would be experienced with: - Designing FPGA for embedded systems - Working with communication modules - Device control and monitoring Competencies in high-speed communication will also be beneficial, as our device interacts with communication modules frequently. Because of the communication modules' complexity, the ability to deliver sophisticated tech solutions is key."

    €9 / hr (Avg Bid)
    €9 / hr Offerta media
    1 offerte

    I need to interface a DAQ / IEPE ADS127L01 with STM32H753. The DAQ has a SPI output at 24 bits & 500Ks/secs. No processing is required within STM32. Only, Data from SPI-Peripheral to Buffer to Peripheral (OUT) is required / DMA. The out DATA needs to be in ETHERNET Protocol. Finally, this data on Ethernet Protocol should be tied to MATLAB for FFT.

    €526 (Avg Bid)
    €526 Offerta media
    21 offerte

    For my project, I am looking for a proficient Verilog programmer to simulate the behavior of a mixed circuit. This is a hub project . there will be 4 layer of slave input . the module needs to OR the all data and forward to another level of slave.

    €387 (Avg Bid)
    €387 Offerta media
    10 offerte
    PCB Layout in Altium Terminato left

    We have an existing PCB layout where the top/bottom layers needs to be modified. The new top/bottom layers must be copied from a reference design and slightly adapted to match the size of the PCB. The top/bottom layers contain high-frequency RF structures so they must be copied with care, but no RF design is required. There will also be some re-routing of the SPI traces in the inner layers to match the new top/bottom layers component positions. We will provide support and review to make sure the final layout is correct. More details is provided in the attached document. This project must be completed urgently so only apply if you can start working on this project right away and complete before end of week. Please add the word ALTIUM at the top of your proposal to confirm that...

    €155 (Avg Bid)
    €155 Offerta media
    41 offerte

    I am looking for a programmer who can program our custom board having stm32 microcontroller, which will be interfaced with an LCD and a wireless module. The ideal candidate should have experience in programming in C language. Specific requirements for the wireless module: - The wireless module should have certain features i...microcontroller, which will be interfaced with an LCD and a wireless module. The ideal candidate should have experience in programming in C language. Specific requirements for the wireless module: - The wireless module should have certain features implemented, which will be discussed in detail with the chosen freelancer. Preferred LCD interface: - The project requires a serial interface for the LCD. SPI Please note that the programming language for this pro...

    €612 (Avg Bid)
    €612 Offerta media
    17 offerte
    verilog code Terminato left

    I'm looking for an expert with an in-depth understanding of digital circuits and solid experience with Verilog to help me design a digital circuit. This project aims to create a I2S input (64FS) to a 20 bit serial data output module with separate left and right output channels according to AD1862 data input specification. Key tasks include: - Design Verilog code for specific functionalities - Proactively troubleshoot and rectify any issues during the design process The ideal candidate will have: - Excellent knowledge of Verilog and its applications - Understanding and experience in designing digital circuits - Proven problem-solving skills and attention to detail Please only bid if you have the relevant skills and experience. Thanks!

    €203 (Avg Bid)
    €203 Offerta media
    11 offerte

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a memory device. This project is to expand that to add eMMC support, such as typical 64GB eMMC 5.1 devices available on Digikey/Mouser. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8 bit data bus. Project can be extended to include additional modes / features. Note: if you want to make a bid, please respond with your previous experience with working with eMMC devices.

    €1783 (Avg Bid)
    NDA
    €1783 Offerta media
    13 offerte

    ... 6. Documentation: - Create comprehensive documentation for the mining software, including code comments, API documentation, and user manuals. - Assist in training other team members and provide support as needed. Qualifications: - Bachelor's or higher degree in Computer Science, Electrical Engineering, or a related field. - Proven experience in developing low-level software for ASIC or FPGA-based systems. - Proficiency in programming languages such as C, C++, or Assembly. - In-depth knowledge of cryptographic algorithms, especially SHA-256. - Experience in the development of mining software for Bitcoin or other cryptocurrencies is highly desirable. - Strong problem-solving skills and the ability to work in a collaborative team environment. - Excellent communication ...

    €20 / hr (Avg Bid)
    €20 / hr Offerta media
    17 offerte
    LVDS to MIPI CSI Terminato left

    ...video signals LVDS, MIPI CSI-2 etc. - Familiarity with CSI interfaces and their specific requirements - Board bring-up, prototyping etc. Specific requirements for the project include: - Implementing LVDS to MIPI CSI-2 bridge using FPGA (small fpga like Lattice crosslink or similar) - Ensuring compatibility and seamless integration between LVDS and CSI - In test setup we will be using a block camera with LVDS output and at the input side we will be using imx8m or any other application processor (could be RPi). - We should be able to receive video at the SoC - FPGA should be able to detect different resolutions and frame rate. Then communicate with the SoC. - If possible we will add two LVDS inputs. If you have the necessary skills and experience in this field, ple...

    €1662 - €2770
    Sigillata
    €1662 - €2770
    5 offerte

    ...for an experienced FPGA developer who can assist me in creating a Kangaroo Pollard FPGA Bitstream and operating application. Here is the open source code for the program and logic behind the project Modifcation to existing program. During hashtable creation remove/ do not add all potential private keys that follow these rules for every private key No more then 5 letters or numbers of the exact same consectutively .ie fffff, 44444, single key cant have either No more then 6 letters consectutively per key No more then 6 number consectutively per key The private keys in the hashtable cant have any of these values in them . This will drastically reduce the scan space Skills and Experience: - Advanced level of familiarity with FPGA and bitstream development

    €810 (Avg Bid)
    €810 Offerta media
    13 offerte

    I am seeking a proficient Verilog programmer to construct a PID controller from the ground up to manage a DC motor using PWM. The controller will interface with a Sparton 6 - FPGA and a DC motor driver. The characteristics that should be managed by the PID controller are the speed and position of the motor. The ideal candidate will: - Have substantial Verilog programming experience - Be experienced with PID controller development - Have a deep familiarity with working in Xilinx ISE environment - Demonstrate a high competency in controlling motor speed and position via a PID controller Your work will be key in ensuring the optimal performance of the DC motor in its relevant application. Please only bid if you have the above-listed skills and experience and can ...

    €632 (Avg Bid)
    €632 Offerta media
    12 offerte

    We've developed a custom board using the NRF5340 and the NRF7002 (WIFI Companion IC). This board incorporates a NAND flash (Micron MT29F4G01ABBFDWB-IT:F) connected via SPI. Our project's core objective is to collect data from a 9-axis IMU, spanning up to 12 hours. The IMU delivers data at a rate of up to 400 Hz, with 16 bits per axis. Our plan is to store this data on the NAND flash and subsequently transmit it over WIFI. Our firmware is built using the NRF Connect SDK. To achieve this, we are in need of a NAND flash driver that offers the following functionalities: 1. Initialization: The driver should initialize the NAND flash, configure the necessary settings and parameters, and ensure its proper functioning. 2. Read and Write Access: The driver must support writing data...

    €1208 (Avg Bid)
    €1208 Offerta media
    27 offerte

    I am looking for a professional who can devise a code in Verilog-2001 for adaptive haze removal in image processing. Requirements: - Proficiency in Verilog-2001 coding - Strong understanding of image processing algorithms - Experience with adaptive haze removal techniques - Ability to implement and optimize the code for efficient processing Please note that I have a specific image processing algorithm in mind for the haze removal and I am relying on your expertise to implement it effectively.

    €241 (Avg Bid)
    €241 Offerta media
    4 offerte

    ...and provide insightful advice on my current Verilog code. Here's what I need: Verilog Code Review: - The crux of the project is the design aspect of my Verilog code. I need a professional who can scrutinize the implementation, selecting the best practices and pointing out areas for improvement. Focus Areas: - The emphasis of this project is particularly on the implementation of design. I need you to ensure the functionality of the architecture and its alignment with the set objectives and tasks. Required Skills and Experience: - An ideal candidate should have extensive knowledge in Verilog programming and code review. - A strong understanding of digital design and implementation is critical. - Proficiency in evaluating the functionality of Verilo...

    €9 / hr (Avg Bid)
    €9 / hr Offerta media
    9 offerte
    RF PCB DESIGNER Terminato left

    Hello,I am looking for experienced RF designer to design RF PCB consisting of an Zynq FPGA and an rf transceiver chipset (will be revealed via chat). The design consist of GPS ,wifi module, display ,keypad and power amplifier which the freelancer should select and make the design in preferable 6/8 layer design also requires impedance matching. More details via chat.

    €133 (Avg Bid)
    €133 Offerta media
    12 offerte

    ...for an experienced Arduino developer who can help me with my project. The main goal is to display sensor data on a 3.5 inch TFT LCD touch screen using SPI interface. Skills and Experience Needed: - Proficiency in Arduino programming and C/C++ - Strong understanding of SPI interface and communication protocols - Experience working with TFT LCD displays, specifically 3.5 inch size - Knowledge of data acquisition and sensor integration - Ability to interpret and display sensor data in a visually appealing manner on the LCD touch screen Project Details: - The project involves connecting an Arduino board to a 3.5 inch TFT LCD touch screen using SPI interface. - The specific information that needs to be displayed on the LCD touch screen is sensor data. - The sensor dat...

    €363 (Avg Bid)
    €363 Offerta media
    15 offerte

    I am looking for an Embedded C Software Developer to assist me in starting a new enterprise. The project has a flexible timeline of 1-2 months and requires the development of software with basic (simple) functions. Please enter audio123 code in your proposal to be considered. Skills and Experie...flexible timeline of 1-2 months and requires the development of software with basic (simple) functions. Please enter audio123 code in your proposal to be considered. Skills and Experience: - Proficiency in Embedded C programming - Understanding of STM32F4 processor, XMOS processor is nice to have. - Understanding of SPI, I2C serial buses - Understanding of DMA - Eclipse and STM32 CUBE environments - Access to STM32F429I-DISC1 evaluation board - Access to oscilloscope and/or SPI...

    €214 (Avg Bid)
    €214 Offerta media
    60 offerte